fm synth mit echtzeitzugriff?

Also wenn du rotzige FM Sounds magst, kommst du um ne MIDIbox FM vermutlich nicht herum. <lach>
Ich hab mir vor einiger Zeit eine gebaut und muß sagen, daß der Synth zwar diverse Einschränkungen hat, aber auch einige Vorzüge.
Und unterm Strich gibts auch kaum ein besseres Gefühl, wenn man nach Fertigstellung der letzten Lötstelle den Lötkolben beiseite legt und das Teil einschaltet. :phat:

Hier mal ein Beispielsong von mir. Alle Sounds stammen aus der MBFM mit ein paar Ableton Live Audioeffekten on Top.
src: http://soundcloud.com/thomasch/fx-and-the-mbfm

Bevor ich mir allerdings den Wolf schreibe was das Ding kann, zitiere ich einfach mal aus der Beschreibung von der MIDIbox Seite:
MIDIbox FM utilizies the Yamaha YMF262 sound chip (also known as OPL3) for generating the famous FM sounds known from Soundblaster (compatible) soundcards of the early 90s. In addition to the OPL3 specific features (6 four-operator voices, 5 percussion instruments) some software implemented modulation sources have been added to allow more expressive and very experimental sound creations.

Sound Architecture

A single operator provides:

8 different waveforms
an adjustable output level with 6-bit resolution which affects the output amplitude (carrier) or the modulation index (modulator)
a frequency multiplier with 4-bit resolution
a simple envelope generator (ADSR), each parameter with 4-bit resolution. Sustain phase can be omitted
key scaling (0 db/oct, 1.5dB/oct, 3.0dB/oct, 6.0dB/oct)
envelope scaling (higher notes are shorter then lower notes)
Vibrato and Tremolo (fixed frequency)
Feedback with 3-bit resolution (only the first OP)

A single voice consists of 4 operators and provides:

only a single pitch for all 4 operators (frequency detuning between the operators of one voice not possible)
4 different OP connection modes (algorithms)
2 software implemented LFOs which can be assigned to the operator volumes and to the pitch
1 software implemented envelope generator which can be assigned to the operator volumes and to the pitch

A single instrument can control one or more voices (up to 6), it provides:

mono/legato/poly play mode
automatic voice assignment (longest note will be killed first)
adjustable pitch bender range and finetune
portamento (optionally with "Sus-Key" playing behaviour)
Velocity can be assigned to any CC sound parameter
Modulation Wheel can be assigned to any CC sound parameter
Aftertouch can be assigned to any CC sound parameter
A 32-step wavetable sequencer with up to 500 Hz play rate which can be assigned to 3 CC sound parameters
LFOs/EG5/WT sequencer can be synchronized to MIDI clock

A LFO provides:

5 waveforms
rate from 0.032 Hz to 45 Hz
key sync and start phase (0°-360°)
the LFO signal can control: the pitch depth of a voice, the four operator volumes, the frequency of the co-LFO, the amplitude of an AOUT (CV) output
separate depth parameters for all modulation targets

The EG5 provides:

an attack-decay1-decay2-sustain-release curve (7 parameters). Rates from 2 mS to 27 seconds
an assignable non-linear curve function for attack/decay/release
the EG5 signal can control: the pitch depth of a voice, the four operator volumes, the frequency of LFO1, the amplitude of a CV output
separate depth parameters for all modulation targets

The wavetable sequencer provides:

3 assignable CC parameters
32 steps
absolute (0-127) or relative (-64..63) control
3 play modes (note, note step, freerunning)
frequency rate from 2 Hz..500 Hz

An ensemble consists of 4 instruments and provides:

the patch and bank number (8 * 128 patches can be stored in 8 BankSticks)
a separate MIDI channel for each instrument
keyboard split zone
overall volume
velocity curve (Flat/Hard/Soft
Semitones Transpose function
Unisono function (allocates two detuned voices)
separate routing of the OP1/2 and OP3/4 outputs to the 4 audio channels

The separate percussion channel provides:

2-OP Bass Drum
1-OP Snare
1-OP Tom
1-OP HiHat (open and closed)
1-OP Cymbal
Adjustable frequencies (BD: independent, Tom/HiHat/Cymbal: coupled)
separate keyboard zones for each drum

Additional features

realtime editing of all sound parameters via CC, SysEx or control surface
control surface with 2x40 LCD, 5 rotary encoders, 24 buttons, 34 LEDs (see also the MIDIbox FM manual)
each BankStick can store 128 sound patches, 16 drumsets and 32 ensembles.
up to 8 BankSticks can be connected
8 optional CV outputs which can be assigned to the LFOs and EG5s for controlling analog filters, VCAs, effects, etc...

LG
Thomasch
 
klingt gut der track, klingelt alles so schön! die kick kommt auch aus der midibox? hat die eingentlich einzelouts? das wäre für mich schon wichitg. der sound erinnert mich immer an die alten soundblaster spiele. ich hatte die auch schon im visier und ein kollege hier wollte mir eine bauen aber das hat sich im sande verlaufen. bauen ist kein problem mit mittleren lötfähigkeiten und keinerlei plan von schaltkreisen?
 
Jupp, die Kick ist auch von der MBFM. Auf der Klangerzeuger Seite ist der Track 100% MBFM, lediglich Reverb, Delay, bischen Filtergewobble, etc. kommen aus Ableton.
Einzelausgänge hat die MBFM 4 Stück. Die sind frei belegbar, du kannst sogar OP1+2 und OP3+4 eines Sounds auf getrennte Ausgänge legen.
Das ist insbesondere dann interessant, wenn du nur Teilaspekte eines Sounds mit externen Effekten verfeinern willst.
Es gibt sogar CV Ausgänge, so daß du externe Filter/VCAs/Effekte/Modularsysteme damit ansteuern kannst.
Meine Elektronik Skills sind auch nicht die allerbesten, um ein eigenes Netzteil zu entwerfen und den einen oder anderen Fehler beim Bau aufzudecken hats grad noch gelangt.
Aber im MIDIbox Forum (http://midibox.org/forums/) hab ich einiges an Hilfe bekommen, sehr hilfsbereite und nette Leute dort.
Man erwartet aber schon, daß man selber ein bissel mitdenkt und die entsprechenden WIKI Beiträge durchackert.
Insbesondere der Entwickler der MIDIbox Plattform ist ebenfalls sehr hilfsbereit. Er hat damals sogar ne extra Anpassung in der Software gemacht, weil die Encoder die ich genutzt hab nicht so wollten, wie sie sollten.
Gelegentlich werden dort im Forum aber auch gebrauchte Geräte verkauft, gibt ein extra Unterforum dafür.

Mittlerweile Ist der User Sauraen aus dem MIDIbox Forum dabei ne MIDIbox FM V2.0 auf Basis des LPC17 Cores zu entwickeln, sollteste dir evtl auch mal reinziehn den Thread:
http://midibox.org/forums/topic/18282-m ... -on-lpc17/
gallery_10357_214_1471269.jpg
 
ja bin schon mal kreuz und quer durch das forum, sehr angenehme szene. die mbfm v2 hab ich auch schon gesehen aber scheint j a wohl noch im experimentellen stadium zu sein. mir würde schon der reine klangerzeuger reichen weil ich alle sequencer selbst in pure data programmiere. die parameter sind ja alle per midi cc erreichbar. hab mir gerade ein paar von deinen tracks angehrt, gefällt mir gut ...
 
tmk009 schrieb:
Weiß gar nicht ob das schon gesagt wurde: Alte Kisten + sysex + viele Daten + schnell = Stotter ohne Ende. So schnell kommen die Kisten da nicht hinterher.

Diese Aussage ist so generalisiert, wie die da steht, Käse.

Wenn die alten Kisten nur einen Prozessor haben und dieser auch noch schlampert programmiert ist (wie oft bei Roland der Fall war), dann stimme ich dem zu, den DX7 hat Yamaha allerdings in dieser Hinsicht schon ordentlich gebaut. Der verfügt über 2 Prozessoren, die flott genug sind, um auch MIDI Sysex in Echtzeit zu verarbeiten. SY77/99 sind da sogar noch besser aufgebaut, da kann man wirklich alles per Sysex steuern, und die Last teilt sich da auf 3 Prozessoren auf.

Voraussetzung für Sysex-Sachen ist natürlich ein anständiges MIDI-Interface von einem Hersteller, der sowas kann.

Wer einen seiner Synths mit diesem billigen Logilink-Kabel anschließt und sich wundert, warum er bei Sysex zickt, darf sich nicht wundern.

Die Parameter bei Yamahas FM Synths werden ja zudem nicht via Speicherdump, sondern Parameter Change gesteuert, das sind kurze Nachrichten, die genau einen Parameter ändern. Bissl mehr Bytes als MIDI CC, aber trotzdem noch gut zu handhaben, wenn nicht gerade eine Prüfsumme dabei ist.

@Julian: ich hab noch einen schönen DX7II mit E! Im Case hier zum Verkauf stehen, falls Du mal einen echten FM-Klassiker haben willst. Kannst ja gerne mal zum Angucken vorbeikommen :)
 
Kein Käse. Das ist vielleicht generalisiert formuliert, ist aber basierend auf eigenen Erfahrungen aus den letzten Monaten. Theoretisch mag ein SY77/99 das gewünschte Umsetzen (habe keinen gehabt+getestet), für Live oder Mal Ausprobieren ist das überbordende Format dieses Synths aber denkbar schlecht. Einzig der tg77 könnte unter Umständen den Formfaktor und den Speed der Parameterumsetzung erfüllen, im Studio hat ein anderes Forummitglied diesen auch im bislang positiven Sysex-Test, da allerdings noch nicht mit ausufernden simultan tweaks. Der von Threadsteller ursprünglich anvisierte tg33 stottert bei der simultanen Umsetzung von Midi- und (wenigen) Sysexdaten sehr schnell. Und er ist nichtmal ein richtiger FMler. Ähnlich sieht es mit dem tx81z aus: midi Noten Pausen bei wenigen Parameterveränderungen. Midi Interface keine Thomann Kabelpeitsche ;-) Welche FM Synths bleiben denn da noch, die Sysex schnell verarbeiten können?
 
Nunja MIDI ist ein serielles Format, das heißt hier ist der Flaschenhals quasi vorgegeben.
Juckelt man ne Menge MIDI bzw SysEx Daten zeitnah durch die Leitung, dann kommt es automatisch zu Verschiebungen im Timing.

Die Übertragungsgeschwindigkeit von MIDI liegt bei 31250 Bit/s, das sind rund 3900Byte/s = 3.9Byte/ms
Gehn wir jetzt mal davon aus, das unsere SysEx Message aus folgenden Bytes besteht:
Code:
Byte 0: SysEx Start, 
Byte 1: Manufacturer ID
Byte 2: Device Number
Byte 3: Device ID
Byte 4: Dump Data Command
Byte 5: adress high
Byte 6: adress mid
Byte 7: adress low
Byte 8 bis ...: data (7bit)
Byte xx: End SysEx
Kurz durchgezählt, sind wir bei nem Minimum von 10 Bytes pro SysEx Message.
Runden wir hier wieder großzügig ab, dann braucht diese einfache SysEx Message also schon ca 2,5ms.

Will ich hier nun auch noch kontinuierlich in kurzen Intervallen über SysEx Parameteränderungen durchführen, weil ich wie verrückt am Regler schraube, dann summiert sich da ordentlich was zusammen.
Kommen noch normale MIDI CC# und Note Messages dazu und das evtl noch polyphon und über mehrere Kanäle, dann bläst das das Ganze noch mehr auf.
Zum Vergleich - das durchschnittliche menschliche Ohr nimmt Latenzen ab 7-10ms wahr. Bei manchen Leuten liegt die Grenze sogar noch niedriger.
Evtl ist der SysEx Editor auch noch schlecht optimiert, das MIDI-Interface spastisch veranlagt und die Geräte Hardware lahm wie ne Schnecke, dann kanns am Ende nur ruckeln.
Sparsam gesetzte SysEx Messages sind auf jeden Fall ein Muß um dem vorzubeugen.

Berichtigt mich bitte, wenn ich falsch gerechnet bzw überschlagen haben sollte.
Ich mach selbst nicht wirklich oft was mit SysEx, da sich Ableton Live da ein wenig zickig zeigt und SysEx intern komplett verweigert, hab aber über den Umweg Max for Live und nem UDP Stream auf ein externes Tool schon ein wenig damit herumgespielt und an diversen Editoren für meine Hardware Synths gebastelt.

Um aber hier wieder den Bogen von SysEx, über Max for Live zum Thema FM Synth zu finden kann ich noch einen weiteren FM Synthi empfehlen (sofern man Max for Live oder Max/MSP besitzt).
Und zwar den "Opfour" von Katsuhiro Chiba, einen virtuellen TX81Z Klon der überdies auch als Editor/Librarian für die TX81Z/TX81 Hardware genutzt werden kann.
Ich hab zwar keinen original TX81Z, aber die Software Emu klingt schonmal richtig Klasse.
Das Teil ist übrigens kostenlos zu haben.
Hier mal der Link zur Max for Live Version:
https://www.ableton.com/de/packs/classic-synths/
katsuhiro-chiba-classic-synths-opfour.png__556x92_q85_crop_upscale.png


LG
Thomasch
 
Hierbei kommt es sehr auf die Implementierung an. Gerade der TG33 ist da, wie auch SY22 und SY33, seltsam gestaltet. Das Sysex-Format hat einen Header mit einer ASCII-Kennung, die alleine schon unnötige Bytes verbraucht (LMxxxx). DX7 und SY77/99 sind da deutlich effizienter, vor allem bei den Parameter Changes, auch die 4OP FM-Synths.

TX81Z und DX11 brauchen incl Header dafür gerade mal 7 Bytes, und da sind schon erweiterte Möglichkeiten drin. Hab ich auch schon kürzer gesehen.

Der TX81Z hat allerdings im Gegensatz zum DX11 nur einen Prozessor, da er keine Tastatur und kein großes Bedienfeld abfragen muß. Scheint aber dann auszureichen, um ihn wackeln zu lassen.

Das von Tomasch gepostete hypothetische Format entspricht in etwa dem Roland Sysex, welches seit den Digitalen standardisiert ist.

Schlimm waren die ersten Synths mit 16bit-Prozessoren, bei Manchen hat man aus purer Bequemlichkeit auch die 16bit-Werte über MIDI rausgehauen (und dann noch als Nibbles), egal ob die jeweiligen Parameter wirklich 16bit breit waren oder nicht.
 
ich mach das inzwischen alles direkt in pure data, meine sequencer laufen da sowieso und dann ist das perfekt integriert. mit einer guten soundkarte wie der fireface 800 klingt das bessser als jeder 80ger synth. bitreduktion usw. kann man auch einbauen und wenn man das dann durch analoge eurorack filter jagt kommen klasse sounds dabei raus. ... dann bau ich mir noch eine midibox fm fürs grobe und das ist genug fm für das nächste jahrzehnt.

hier mal drei beispiele wie das klingt, das ist jetzt noch kein fm aber die chords sind in pulse waves aus pure data durch den schippmann filter. klingt nach richtig gutem polysynth. 808 + bassline by oberheim sem, mixed on tascam 644 ...

http://tindeck.com/listen/oxtv
http://tindeck.com/listen/dsjd
http://tindeck.com/listen/aogr

.
.
 
ich versuch mich gerade daran den opl2 chip nachzuprogrammieren, das war der vorgänger zum opl3 ... hier ist mal ein bild wie so ein ding aussieht wenn man ihn aus dem gehäuse rausätzt. faszinierend, man kann sogar die einzelnen register erkennen. japanisches engineering aus den 80ger, das ist sozusagen vintage digital


https://docs.google.com/document/d/18IG ... 42nwo/edit


tkEGbT9g_S_uv5MTQi3aF2cXe5m7r7cVFUEiknYBT3Dp8onQ2w7Y4MgFEWXWNf_W2w6_necPxAuNvFdrAbGC7TnpsXlAWzoeEu6l5flI5nFyTVO5ZwilW5ehIVA
 
so ich hab mal das equivalent zu einem opl2 in pure data gebastelt, das ist bei mir jetzt 2 x 2op fm, klingt so in richtung tg-33. damit und 2 guten envelopes lassen sich ziemlich viele geniale sounds bauen, vor allem aus der perkussiven ecke.

dazu gibts auch einen track als beispiel bei dem ich die fm sequenz durch einen analogen bandpassfilter schleife. parallel dazu werden die frequenzverhältnisse der 4 oszis verändert und der envelope animiert. alles wieder auf kassette auf dem tascam 644 gemischt und "gemastert". hier ist auch der der pure data patch der den track generiert und rechts davon ist der 2op fm teil dazu, falls das jemand mal nachbauen will.

http://tindeck.com/listen/ahnc

phobos.png
 
lilak schrieb:
damit und 2 guten envelopes lassen sich ziemlich viele geniale sounds bauen, vor allem aus der perkussiven ecke.

Zum Thema gute Envelopes - Ich kann mir nur schwer vorstellen, daß die OPL2 Envs mehr hergeben als die internen vom OPL3.
Die haben nämlich eine entscheidende Einschränkung - Attack/Decay/etc können jeweils nur 16 mögliche Werte darstellen. Wenn da also richtig Finetuning gefordert ist, dann kanns unter Umständen eng werden.
 
ja genau, desegen hab ich ja auch vollkommen andere envelopes programmiert, die gehen für jeweils attack und release von logarhythmisch über linear bis exponentiell mit einem regler. dh. wenn man zb attack=log und release=log einstellt hat man fast sowas wie ein gate und bei beides expo nur einen ganz kurzen spike. damit kann man eigentlich alles machen. vom opl2 hab ich nur das 2op prinzip und die eigenartigen sinus wellenformen übernommen die klingen für fm richtig gut siehe auch:

YM3812_waveforms_numbered.png


nach opl2 klingt das ja sowieso nicht in 48khz 24 bit und high resolution von der fireface 800 aber das einfache fm prinzip dahinter finde ich gut und fast brauchbarer als 4op dx sounds. mein lieblingsfmsynth ist noch immer der tg-33 ;-)
 
lilak schrieb:
mein lieblingsfmsynth ist noch immer der tg-33 ;-)

Oh jetzt bin ich neugierig. Warum? Ich habe den auch da und finde, dass er total inflexibel und zudem noch nichtmal ein richtiger FM synth ist, mit seinen voneinander unabhängigen 2x1,5 Operatoren plus pcm wavesamples... Oder hab ich da was übersehen?
 
einfach wegen dem sound. dx7 klingt für mich pappig und ohne bass, der tg33 hat biss. ausserdem finde ich dass man bei 2op das fm prinzip am besten hört also die beiden frequenzen von carrier und modulator und die obertöne die daraus resultieren, siehe auch mein track. im modularbereich gibts dafür von modcan den fmvdo da hört man das auch genau. für komplexere fm sounds benutzt der einfach andere waves oder wavetables. mehr fm brauche zumindest ich nicht. keep it simple!

http://www.modcan.com/emodules/fmvdo.html

fmvdo.jpg





.
.
 
Verstehe! Ich finde ein wenig mehr nicht schlecht, schade dass beim tg33 zB der Carrier immer fest ist. Wenn sich zudem Vector B und D noch gegenseitig modulieren könnten, dann wäre es mein traumsynth.
 
wenn der carrier nicht fest ist hast du eben kein 2op fm mehr dann würde der tg genauso klingen wie die anderen yamaha fm synths nehme ich mal an. welcher chip ist da eigentlich drin? bei mir in pure data kann ich das ja zusammenstecken wie ich will also auch meinetwegen 8 op fm machen. aber wie gesagt 2 op ist für mich von den klängen her am interessantesten weil am besten kontrollierbar.

das ist wie mit allem, am anfang von einer erfindung ist alles klar und dann wirds "weiterentwickelt", bekommt immer mehr features und wird dadurch immer schlechter. vergleich mal autos aus den 60ger/70gern mit dem plastikschrott der heute unterwegs ist, progress sucks!
 
Ein biiiiischen Progress ist nicht verkehrt ;-) es sterben zB heute viel weniger Fahrer und Fußgänger durch die aktuellen Plastebomber...

Im Tg33 steckt ein TMC3493PH für den Sound und ein ym3413 für die Effekte.

Zum soundchip etwas Info:
Very interesting chip that supports unlimited cascading through sepcial cascading in/out bus and external sync. Two chips can share a single waverom set using time domain multiplex. Supports up to 2Mbyte of wave data. FM capabilities appear to be designed similar to OPU chip. Supports sample loading, if static RAM is conneted instead of ROM. Sample format is yamaha's future dominant - signed 8bit linear, without emphasis.
 
ja das scheint der waveplayer chip zu sein, aber woher kommt der fm teil?

hab gerade eine erstaunliche feststellung gemacht. für tonales fm funktionieren als modulator nur waveformen die symmetrisch fallen/steigen. wenn das nicht symmetrisch ist wird der carrier ton je nach fm amplitude gefühlt tiefer und der modulator höher dh das ganze klingt dann total verstimmt. die opl2 waveformen von oben funktionieren bis auf den sinus nicht als modulator oder yamaha hat da getrickst. ich kenne das von der exponeniellen fm am modular aber so war mir das nicht klar. also als modulator gehen dann eingentlich für tonales nur sine, tri, saw und pulse. warum der saw funktioniert verstehe ich nicht weil der ist ja assymetrisch. oder seh ich das falsch?
 
ich poste mal noch ein soundbeispiel dann wird das schnell klar. der grund ist glaube ich dass die yamaha chips alle kein echtes fm machen sondern in wirklichkeit phase modulation. pm klingt fast identisch zu fm aber dabei gibts das problem mit dem verstimmen durch nichtlineare modulation nicht. ich hab das mal irgendwo gelesen aber die details davon würden mich schon interessieren. die fm experten schauen aber im moment alle fussball ...

hier ist noch ein guter artikel zu fm im modularbereich von rob hordjik, da steht dazu auch was ... http://rhordijk.home.xs4all.nl/G2Pages/FM.htm

Some digital oscillators have an option to modulate the momentary phase position of the waveform instead of the actual frequency parameter, which can be imagined like shifting the waveform forwards and backwards in time. E.g. on the DX7 it is in fact the waveform phase position that is modulated and not the linear frequency parameter. The main difference is that phase modulation does not detune the basic pitch of the oscillator when the oscillator is modulating itself. If this 'selfmodulation' is instead applied on a true linear frequency modulation input (like on an analog oscillator) it will in fact severely detune the oscillator.

und hier ist wie pm/fm in pure data geht und was für spektren dabei rauskommen: http://msp.ucsd.edu/techniques/v0.11/bo ... ode87.html
 
so hab nochmal was gemischt das alles register zieht. mein pure data fm syth kann jetzt sowohl phase modulation als auch frequency modulation. bei phase modulation gibts das problem der verstimmung tatsächllich nicht jede wellenform funktioniert und auch die wellenformen des opl2. in dem track hats zwei marimba stimmen, die untere ist phase modulation und die obere meldiestimme frequency modulation. fm klingt etwas komplexer, pm klingt tasächlich etwas nach den alten casio synths aber eben in hoher auflösung. im track werden verschiedene freuenzverhältnisse durchgesteppt da hört man das ganz gut. die beiden stimmen were gemischt und durch den schippmann filter im 12 db lp mode gejagt, ich finde das klingt sogar besser als der fs1r. das ganze dann wieder auf dem tascam 644 auf cassette gemastert. das ist übrigens in 12/8 aber die changes sind alle in 20/8 ;-)

http://tindeck.com/listen/idwc

src: http://soundcloud.com/lilakmonoke/helike-23

.
helike.png


.
,
 
Wo ist denn unten und wo oben? Das helike ist FM? Klingt sehr sauber, da macht das Tascam ja richtig Sinn :)
 
die stimme "unten" spielt immer den gleichen ton, die oben eine sequenz. ja ist ziemlich sauber obwohl der schippmann filter auch anzerrt. das tascam ist der hammer, ich hab da eine neue kassette rein das bandmaterial ist offensichtlich wesentlich besser als in den 80gern. das ist läuft da in high speed aber ohne dbx, wenn ich das vergleiche mit direkter digitalaufnahme dann sind absolut alle frequenzen da. nur eben noch mit dem tape typischen leichte anzerren und komprimieren, klingt jedenfalls nicht schlechter wie meine studio bandmaschine.
 


News

Zurück
Oben